Hi,
I don't understand why the following code doesn't work, can you enlighten me ?
Code:
freq_authorization : process(clk)
begin
if rising_edge(clk) then
if ((unsigned(freq) >= "00001100" ) and (unsigned(freq) <= "00111101" )) then --compare if freq entry is between 12 and 59
allowed_freq <= '1';
else
allowed_freq <= '0';
end if;
end if;
end process freq_authorization;
If it can help, modelsim is returning # ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE when I run.
Thanks for your help.
EDIT : freq is in std_logic_vector(7 downto 0);
Bookmarks