In my VHDL code, I use rising_edge for shift registers to latch data.

But TimeQuest report timing of these shift registers using falling edge of clock.
What's wrong?

pls see the detailed VHDL code below:
LIBRARY IEEE;
USE IEEE.stdlogic1164.ALL;